Intel’s next-generation chipmaking roadmap: Where does it lead?

Intel announced that it would be revamping its semiconductor innovations, chip-making strategy, and future plans at its recent Accelerated Webcast event. The company revealed an aggressive roadmap that promises a significant improvement in technology performance, efficiency, and architecture in the upcoming Intel chipsets up to 2025 and beyond. Intel’s new roadmap is the company’s plan to retake its throne in the processors’ arena from AMD.

Rebranding the ‘node’ naming

For decades, Intel, along with other major chip manufacturers, has been using the process “node” naming convention to its chipsets corresponding to the actual length of certain physical transistor features. At the Accelerated Webcast event, Intel said that it will be ditching this traditional nanometer-based node-naming convention and will be debuting its new naming scheme. Intel believes that this new naming convention will provide a way to disclose key technical parameters such as performance and power and depict a more accurate view of process nodes.

Intel roadmap
Intel

Specifically, the company made an official announcement about their naming convention of the chipsets going further. Intel’s new third-generation 10nm chipsets will be referred to as “Intel 7” (previously referred to as Enhanced SuperFin) instead of being addressed with the size of the transistor on the chip like the last year’s 10nm SuperFin chips. The Intel 7 will be followed by Intel 4 (previously referred to as Intel 7nm) and Intel 3. The next node launched after the Intel 3 will be called Intel 20A to represent their transition to the newer and advanced angstrom era of semiconductors.

Intel’s process technology roadmap

Intel also announced that their roadmap relies on the technological innovations they are embedding in their upcoming chips, which includes deep transistor-level enhancements and innovations in interconnecting the standard cell levels. Through this roadmap, Intel is pledging to be delivering faster, efficient, and smaller chipsets every year through 2025. Below is Intel’s new lineup of chips planned to be released in the coming years following the company’s all-new node naming.

Intel roadmap 2025
Intel

Intel 7

According to the company, Intel 7 will be capable of delivering an approximately 10% to 15% increase in performance-per-watt over the current Intel 10nm SuperFin, which is currently in high-volume production. Intel 7 will feature an increased strain, more low-resistance material used to build the chip, streamlined structure, and high-density patterning techniques to deliver better performance and efficiency. Moreover, this SoC will be featured in the company’s upcoming products, including Alder Lake and Sapphire rapids, and is expected to be in the production line by the first quarter of 2022.

Intel 4

Intel 4 will be the company’s first 7nm chipset featuring a 20% increase in the performance-per-watt over the Intel 7. Intel 4 will supposedly be the company’s first finFET node to embrace ultraviolet lithography (EUV) that uses a complex optical system of lenses and mirrors to print incredibly small features on Silicon. Intel believes this can be a game changes in terms of designing and developing further smaller chipsets in the future. Intel 4 will be up for production in the latter half of 2022 and will be shipping in early 2023 in Meteor Lake and Granite Rapids.

Intel 3

Intel 3 will continue to benefit from the FinFET technology and is expected to deliver an 18% performance increase over the Intel 4. Intel 3 will be implementing increased use of EUV compared with the Intel 4 and will feature a denser, high-performance library and increased intrinsic drive current. Intel 3 will go into production in the second half of 2023 and is expected to be available to the public in late 2023 or early 2024.

Intel 20A

The “A” in the Intel 20A represents an “angstrom,” which is a unit of length equal to 0.1 nanometers. Intel believes they will be entering the angstrom era of processors with two breakthrough technologies — PowerVia and RibbonFET. PowerVia is Intel’s very own, industry-first implementation of backside power delivery for optimized signal routing while reducing droop and lowering the noise generated in the signaling. RibbonFET is the company’s newest transistor architecture since its launch of FinFET in 2011. Following Intel’s launch pattern, 20A is expected to ramp in 2024.

2025 and beyond

Intel has been in the news lately for its latest announcements, technological advancements, broken deals, and more. With Intel 18A already planned for development in 2025 along with the refinements to RibbonFET, Intel does seem to have a clear development roadmap ahead for the next half-decade. Moreover, if Intel succeeds in mastering the high NA EUV technology to design and draw on silicon, it can certainly bring breakthroughs in the world of chipsets. More information can be found here.

Featured image: Shutterstock

About The Author

Leave a Comment

Your email address will not be published. Required fields are marked *

This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply.

Scroll to Top